전자일기

플립플롭의 변환

전자김치 2024. 3. 25. 10:51
728x90
728x90

플립플롭의 변환

플립플롭은 단일 비트의 데이터를 저장할 수 있는 한 형식에서 다른 형식으로 변환할 수 있는 순차 회로의 기본 구성 요소입니다.

플립플롭을 한 유형에서 다른 유형으로 변환하는 것은 생각만큼 복잡하지 않습니다. 우리는 순차 논리 에 대한 전자 튜토리얼 섹션 전체에서 플립플롭이 상태를 변경하기 위해 외부 트리거 펄스가 적용될 때까지 두 가지 안정적인 상태 중 하나에 무기한 유지된다는 것을 확인했습니다 .

플립플롭은 쌍안정 장치이므로 이러한 순차 회로는 입력 조건이 다시 변경될 때까지 출력이 입력 상태에 고정되거나 래치되기 때문에 "래치"라고도 합니다.

우리는 또한 쌍안정 플립플롭이 순차 논리 회로의 가장 기본적인 저장 요소이며 두 개의 반전 게이트를 상호 연결하여 피드백을 생성함으로써 간단한 메모리 요소를 생성하도록 구성할 수 있다는 것을 확인했습니다. 조합 논리 회로에는 어떤 형태의 메모리도 필요하지 않으므로 플립플롭을 사용하지 않습니다. 그러나 순차 논리 회로에는 메모리가 있으므로 현재 상태를 기억하기 위해 다양한 유형의 플립플롭 설계를 사용합니다.

메모리 장치를 생성하기 위한 디지털 논리 게이트의 상호 연결은 스위치 디바운스 회로, 시프트 레지스터 및 카운터 등과 같은 응용 분야로 이어집니다. 또한 쌍안정 래치로 만든 메모리 요소는 컴퓨터 또는 마이크로 컴퓨터가 사용하는 누산기 및 레지스터의 기초를 형성합니다. 컨트롤러는 복잡한 연산을 수행합니다.

 

단일 1비트 플립플롭을 생성하는 가장 기본적인 방법은 그림과 같이 두 개의 NOR 게이트 게이트를 사용하는 것입니다. 교차 결합 게이트를 사용하고 한 게이트의 출력을 다른 게이트의 입력으로 공급함으로써(입력-출력이 상호 교환됨) 회로는 폐쇄 루프(포지티브 피드백)를 가지므로 출력은 입력 상태에 따라 달라집니다. 회로 순차형이고 메모리가 있습니다.

플립플롭은 멀티바이브레이터(Multivibrators) 라고 불리는 디지털 스위칭 회로 카테고리에도 속합니다 . 기본 쌍안정 멀티바이브레이터는 하나의 디지털 게이트가 전도되면 다른 게이트는 차단되고 그 반대의 경우도 마찬가지가 되도록 설계된 두 개의 활성 디지털 게이트가 있는 일종의 재생 회로입니다. 이 두 개의 디지털 게이트는 HIGH와 LOW 모두에서 두 개의 안정적인 출력을 생성하며, 하나는 다른 하나를 보완합니다.

그러나 우리는 기본 교차 결합 NAND 게이트 및 NOR 게이트 변환을 통해 비동기식 및 동기식(비동기식 플립플롭에는 클록 신호 입력이 필요하지 않지만 동기식 플립플롭에는 필요함)의 다양한 유형의 플립플롭 회로를 만들 수 있습니다. 서로 다른 유형 사이의 플립플롭은 때때로 약간 혼란스럽습니다.

기본적으로 플립플롭에는 네 가지 유형이 있으며 다음과 같습니다.

  • 1. SR(Set-Reset) 플립플롭 또는 래치
  • 2. JK 플립플롭
  • 3. D(데이터 또는 지연) 플립플롭
  • 4. T(토글) 플립플롭

따라서 사용 가능한 다양한 유형의 플립플롭을 더 잘 이해할 수 있도록 다음 순차 논리 튜토리얼에서는 특정 유형의 플립플롭 입력을 수정하여 한 유형에서 다른 유형으로 플립플롭을 변환하는 방법을 보여줍니다. SR 플립플롭으로 시작하는 플롭.

세트-리셋 SR 플립플롭

모든 쌍안정 래치 및 쌍안정 멀티바이브레이터 중 가장 기본적인 것은 SR(set-rest) 플립플롭입니다. 기본 SR 플립플롭은 다른 모든 유형의 플립플롭이 이 플립플롭으로 만들어지기 때문에 중요한 쌍안정 회로입니다. SR 플립플롭은 TTL 74LS00과 같은 2개의 교차 결합 디지털 NAND 게이트 또는 TTL 74LS02와 같은 2개의 교차 결합 디지털 NOR 게이트를 사용하여 구성됩니다.

일반적으로 SR 쌍안정 및 플립플롭은 출력이 변경되거나 입력 변경에 즉시 응답하기 때문에 투명하다고 합니다. 또한 피드백과 함께 디지털 논리 게이트로 구성되므로 SR 플립플롭은 비동기 순차 논리 회로로 간주됩니다.

기본 SR 플립플롭에는 2개의 입력 S (설정) 및 R (리셋)과 2개의 출력 Q  Q 가 있으며 , 이들 출력 중 하나는 다른 출력의 보완입니다. 그러면 SR 플립플롭은 2입력, 2출력 장치입니다. 아래 회로를 고려하십시오.

기본 NAND 및 NOR SR 플립플롭

위는 네거티브 입력 NAND 게이트 또는 포지티브 입력 NOR 게이트 를 사용하는 비동기 SR 쌍안정 플립플롭에 대한 두 가지 기본 구성입니다 . 두 개의 교차 결합 NAND 게이트를 사용하는 SR 쌍안정 래치의 경우 일반적으로 논리 레벨 "1"에서 두 입력 모두 HIGH로 작동합니다.

R이 HIGH로 유지된 상태 에서 S 입력 에 로직 레벨 "0"의 LOW를 적용하면 출력 Q가 HIGH로 이동하여 래치가 설정됩니다. 마찬가지로 입력 S 가 HIGH로 유지된 R 입력 의 로직 레벨 "0"은 Q 출력을 LOW로 전환하여 래치를 재설정합니다. SR NAND 게이트 래치의 경우 S = R = 0 조건이 금지됩니다.

두 개의 교차 결합 NOR 게이트를 사용하여 플립플롭을 변환하는 경우 출력 Q = 1 이고 Q  = 0 일 때 쌍안정 래치가 설정 상태에 있다고 합니다. Q = 0 이고 Q  = 1 이면 NOR 게이트 래치  재설정 상태에 있다고 합니다. 그러면 NOR  NAND 게이트 플립플롭의 작동이 기본적으로 서로 보완적인 것임을 알 수 있습니다 .

 

두 개의 교차 결합 NAND 게이트를 사용하여 SR 플립플롭을 구현하려면 LOW 입력이 필요합니다. 그러나 기본 쌍안정 설계 내에서 인버터( 게이트 아님 ) 를 사용하여 활성 HIGH(양의 논리) 입력이 있는 NOR 게이트 구현 과 동일한 방식으로 작동하도록 NAND SR 플립플롭의 작동을 변환할 수 있습니다.

그러면 플립플롭을 활성 LOW에서 활성 HIGH 입력으로 변환하는 방법은 다음과 같습니다.

액티브 HIGH 플립플롭

위의 기본 SR 플립플롭과 이에 상응하는 활성 HIGH 플립플롭은 모두 비동기식 플립플롭입니다. 즉, 입력과 현재 상태만으로 다음 상태가 결정됩니다. 그러나 1비트 메모리 저장 장치로서 두 입력에 무슨 일이 일어나고 있는지에 관계없이 현재 출력 상태를 유지하기를 원할 수 있으며 기본 SR 플립플롭의 동작을 제어하기 위해 추가 입력을 포함하여 수정할 수 있습니다. 쌍안정 회로.

플립플롭 기본 회로의 변환은 제어 입력과 함께 S  R 입력을 활성화 및 비활성화하는 두 개의 추가 AND 게이트를 사용하여 수행됩니다 . 이 새로운 회로를 Clocked 또는 Gated SR 플립플롭이라고 합니다.

게이트 세트-리셋(SR) 플립플롭

게이트 SR 플립플롭은 클록 적용 또는 활성화 입력에 대한 입력에 응답하여 출력 상태만 변경하면서 순차적으로 작동합니다. 출력 변경이 이 클록 활성화 입력에 의해 제어되므로 게이트된 SR 플립플롭 회로를 "동기식" 플립플롭이라고 합니다. 그러면 비동기식 SR 플립플롭에는 클록이 필요하지 않지만 동기식에는 필요합니다.

표준 NOR 기반 SR 플립플롭을 게이트형 SR 플립플롭으로 변환하는 작업은 Set 및 Reset 입력에 연결된 두 개의 AND 게이트(TTL 74LS08)를 사용하여 수행됩니다. 추가 제어 또는 "활성화" 입력인 EN은 두 AND 게이트 모두에 연결되어 그림과 같이 클록 입력이 LOW일 때 LOW 출력이 발생합니다.

게이트 SR 플립플롭 회로

클록 또는 활성화 입력 EN은 두 개의 AND 게이트 모두의 입력 중 하나에 연결되어 활성화 입력이 LOW(AND 게이트 원리)일 때 LOW 출력이 발생합니다. 그러면 입력 S 또는 R 에 대한 모든 변경 사항은 플립플롭의 출력 Q  Q 상태에 영향을 주지 않습니다 .

활성화 입력이 HIGH이면 두 개의 AND 게이트가 투명해 지므로 입력 S  R 에 대한 모든 변경 사항은 이전과 같이 출력 상태를 변경합니다. 그런 다음 클록 활성화 입력에 HIGH를 적용하기만 하면 논리 레벨 "1"(HIGH) 또는 "0"(LOW)이 게이트 플립플롭의 출력에 저장될 수 있으며 이 출력은 상태는 활성화 입력이 LOW로 유지되는 동안 입력 상태에 관계없이 원하는 시간 동안 유지될 수 있습니다.

게이트 플립플롭 기호

게이트 SR 플립플롭은 3개의 입력 장치이므로 논리 기호는 S , R  EN 의 세 가지 입력을 표시합니다 . EN 입력  플립플롭이 에지 또는 전환 입력에 응답한다는 사실을 나타내기 위해 작은 삼각형으로 표시됩니다.

플립플롭을 클록된 플립플롭으로 변환하는 것은 이 인에이블 입력을 타이밍 신호에 연결하기만 하면 달성됩니다. 출력 상태의 모든 변경은 클록 CLK 신호와 동기화되어 발생합니다. 클록 신호는 각 펄스가 "ON" 상태와 "OFF" 상태라는 두 가지 개별 상태를 갖는 연속 펄스 시퀀스로 정의되며, 듀티 사이클은 "ON" 시간을 총 시간으로 나눈 값을 나타냅니다. 펄스("ON" 시간 + "OFF" 시간). 거의 모든 디지털 클록 신호의 듀티 사이클은 50%입니다.

클록 SR 플립플롭은 클록 신호 또는 펄스의 상승 포지티브 에지 또는 하강 네거티브 에지에서 상태를 변경할 수 있습니다. 따라서 에지 트리거 플립플롭은 클록 펄스가 한 레벨에서 다른 레벨로 변경될 때만 응답하거나 상태를 변경합니다. 예를 들어 HIGH에서 LOW로 또는 LOW에서 HIGH로 변경됩니다.

포지티브 에지 트리거 플립플롭의 출력은 클록 펄스의 상승 에지(0-1)에서만 상태를 변경하고 하강 네거티브 에지에는 응답하지 않습니다. 마찬가지로, 네거티브 에지 트리거 플립플롭은 클록 펄스의 하강 에지(1-0)에서 상태를 변경하고 상승 포지티브 에지에 응답하지 않습니다.

프리셋 및 클리어 기능을 갖춘 게이트 SR 플립플롭

이 게이트형 SR 플립플롭 회로를 한 단계 더 발전시켜 프리셋  클리어 입력이라는 추가 입력이 있는 쌍안정 래치를 생성할 수 있습니다. 이 입력은 플립플롭을 클록과 관계없이 초기 상태로 설정하는 데 사용할 수 있습니다. 출력 Q  Q 에 정의되지 않은 값이 로드되는 대신 모든 입력을 재정의하고 출력을 정의된 상태로 사전 설정할 수 있습니다.

그런데 왜 우리는 그렇게 하고 싶을까요? 플립플롭 회로에 전원이 처음 공급될 때 출력의 초기 논리 상태는 어떤 논리 게이트가 먼저 래치되는지에 따라 완전히 무작위일 수 있으며, 그러면 플립플롭 회로가 어떤 스위칭 상태에 있는지 전혀 알 수 없습니다. 플립플롭의 초기 상태는 SET 상태( Q = 1 )에 있거나 RESET 상태( Q = 0 ) 에 있을 수 있으므로 불확실합니다 .

대부분의 애플리케이션에서 출력을 미리 정의된 상태(SET 또는 RESET)로 설정하여 데이터를 수용할 수 있도록 해야 하기 때문에 스위칭 시 이러한 불확실성은 바람직하지 않습니다. 그러나 표시된 것처럼 Preset , PR  Clear , CLR 이라고 하는 두 개의 추가 비동기 입력을 사용하여 플립플롭을 변환하면 이러한 불확실성을 극복할 수 있습니다 .

사전 설정 및 지우기 입력이 있는 플립플롭

이러한 추가 입력을 사용하면 CLEAR 입력이 "0"이고 PRESET 입력이 "1"일 때마다 플립플롭을 클리어( Q = 0 )할 수 있습니다. 마찬가지로, 플립플롭은 PRESET 입력이 "0"이고 CLEAR 입력이 "1"일 때마다 논리 "1" 상태로 사전 설정될 수 있습니다. 이 예에서 PRESET 및 CLEAR 입력이 활성 HIGH( P = CLR = 1 )이면 회로는 일반 게이트 SR 플립플롭 회로로 작동합니다. PRESET 및 CLEAR 입력이 동시에 활성화되어 있으면 불확실한 상태가 되므로 LOW( P = C = 0 )로 설정해서는 안 됩니다.

이 PRESET 및 CLEAR 옵션은 다음 시퀀스를 준비하는 순차적 작업 중에 플립플롭을 알려진 설정 또는 재설정 상태로 설정하려는 경우에도 유용합니다.

한 유형에서 다른 유형으로의 플립플롭 변환은 연결을 수정하거나 추가 게이트를 사용하여 쉽게 구현됩니다. 앞서 살펴보았듯이 기본 SR 플립플롭에는 단일 비트를 저장하기 위한 S  R이라는 두 개의 입력이 있지만 이를 위해서는 두 입력을 동시에 활성화해야 합니다. 더욱이 S = R = 1 이라는 금지된 입력 조합이 우연히 발생할 수 있으며, 이로 인해 SR 플립플롭이 정의되지 않은 상태로 전환될 수 있습니다.

두 개의 별도 입력이 필요하지 않고 의도치 않게 불확정 상태로 전환될 가능성을 없애기 위해 Set 입력과 Reset 입력 사이에 인버터(NOT 게이트)를 연결하여 기본 RS 플립플롭을 D형 플립으로 변환할 수 있습니다. -실패.

(데이터) D형 플립플롭

D형 플립플롭 또는 데이터 래치에는 "D"라고 하는 하나의 입력, 즉 데이터 입력과 클록 입력 CLK , 그리고 일반적인 두 개의 출력 Q  Q 가 있습니다 . D형 플립플롭은 하나의 클록 펄스가 지연된 후 입력과 출력 사이에 디지털 데이터를 전송하므로 "D" 부분을 "지연" 입력이라고도 합니다.

D형 플립플롭은 S 입력 과 R 입력 사이에 인버터를 연결하여 인버터의 입력이 S 입력에 연결되고 인버터의 출력이 S 입력에 연결되기만 하면 SR 플립플롭에서 쉽게 구성할 수 있습니다. S 입력은 그림과 같습니다.

D형 플립플롭

위에는 플립플롭을 D형으로 변환하기 위한 두 가지 다른 회로가 나와 있습니다. 상단 회로는 추가 인버터가 포함된 전통적인 게이트형 D형 구성입니다. 하단 회로는 정확히 동일한 방식으로 작동하지만 인버터가 없어 게이트 하나가 절약됩니다. 모든 플립플롭 구성과 마찬가지로 D형 플립플롭은 추가 사전 설정 및 클리어 유무에 관계없이 NAND 또는 NOR 게이트를 사용하여 구현할 수 있습니다.

입력 사이에 인버터를 사용하면 S  R 입력이 항상 서로 보완되어 다음과 같은 정의되지 않은 조건이 제거됩니다. S = R = 1 . 결과적으로 D형 플립플롭은 클록 입력이 HIGH일 때 출력 Q가 D 입력을 따르기 때문에 "투명 래치"라고도 알려져 있으며, CLK = 1은 입력의 이진 정보를 출력에 직접 전송합니다. 플립플롭이 없으면 투명하게 만듭니다.

JK 플립플롭

JK 플립플롭은 이전의 SR 플립플롭과 여러 면에서 매우 유사하며 아마도 모든 플립플롭 디자인 중에서 가장 많이 사용되는 것일 것입니다. "J"와 "K"라는 용어는 실제로 특별한 설명을 의미하거나 관련이 있는 것은 아니지만 원래 플립플롭 초기 개발 당시 사용된 용어입니다. 이 두 문자는 다른 디지털 장치의 일부로 사용되지 않기 때문입니다. JK 플립플롭의 경우 "J"는 Set과 동일하고 "K"는 Reset과 동일합니다.

우리는 이전에 SR 플립플롭이 S = R = 1 의 입력 시퀀스와 함께 2개 또는 3개의 의미 있는 입력 조합을 가지고 있다는 것을 보았습니다 . 조합은 허용되지 않지만 다른 스위칭 기능을 달성하기 위해 쉽게 수정할 수 있습니다. 그렇다면 JK 플립플롭은 흔히 보편적인 장치로 간주됩니다.

JK 플립플롭에는 두 개의 입력 "J"와 "K"가 있으므로 변경 없음, 설정, 재설정 및 토글의 네 가지 가능한 입력 구성이 모두 유효합니다. "J" 입력은 "S"처럼 작동하고 "K" 입력은 "R"처럼 작동하므로 입력 중 하나가 HIGH일 때 상태가 변경됩니다. 그러나 JK 플립플롭의 장점은 논리 "1"에서 "J"와 "K"가 모두 HIGH일 때 플립플롭이 토글된다는 점입니다. 즉, "0"에서 "1" 또는 "1"에서 변경됩니다. ”를 “0”으로 변경하여 자체 보수 상태를 생성합니다.

JK 플립플롭 회로

플립플롭을 JK 플립플롭으로 변환하는 것은 그림과 같이 추가 3입력 AND 게이트를 통해 Q  Q 출력을 S  R 입력 과 교차 연결하는 것입니다 .

J  K 입력이 모두 HIGH이고 로직이 "1"인 경우 Q 출력 은 클록 입력( CLK )이 HIGH 인 동안 상태(토글)를 변경합니다 . 따라서 출력이 불안정하여 이 기본 JK 회로에서 경합 문제가 발생합니다. 이 문제는 클록 입력이 매우 짧은 시간 동안만 논리 "1"이 되도록 하거나 마스터-슬레이브 플립플롭이라고 하는 보다 정교한 JK 플립플롭 회로를 생성함으로써 방지됩니다.

마스터-슬레이브 플립플롭을 사용한 플립플롭 변환

플립플롭을 "마스터-슬레이브" 구성으로 변환하려면 두 번째 쌍안정 회로를 추가해야 합니다. 마스터-슬레이브 구성은 계단식으로 연결된 두 개의 SR 래치로 구성됩니다. 하나의 쌍안정 플립플롭은 외부 입력을 수신하는 마스터 역할을 하고, 다른 하나는 그림과 같이 마스터 플립플롭에서 직접 입력을 가져오는 슬레이브 역할을 합니다.

기본 마스터-슬레이브 구성

클럭, CLK 펄스가 HIGH가 되면 S  R 입력 의 데이터는 정상적으로 마스터 플립플롭 FF A 를 통해 전송됩니다. 그러나 인접한 슬레이브 플립플롭 FF B는 클럭 입력 CLK 가 LOW이고 인버터에 의한 반전으로 인해 논리 "0"이므로 절연된 상태로 유지됩니다.

이제 초기 클록 펄스가 LOW를 "0"으로 반환하면 마스터가 비활성화되고 외부 데이터 입력이 정보를 출력으로 전달하는 것을 차단하는 반면, 슬레이브 플립플롭은 이제 활성화되어 래치된 정보를 Q의 출력으로 전달합니다 . 그리고 Q. _ 그런 다음 슬레이브 플립플롭에 대한 클록 입력은 마스터 플립플롭에 대한 클록 입력의 보완입니다.

마스터-슬레이브 플립플롭은 입력 클록 펄스가 HIGH 레벨에 있는 전체 시간 동안 입력 데이터가 읽히기 때문에 레벨 트리거 또는 펄스 트리거 쌍안정이라고 합니다. 또한 마스터-슬레이브 플립플롭은 SR 마스터-슬레이브로만 제한되지 않습니다. JK 마스터-슬레이브 및 D형 마스터-슬레이브 플립플롭도 있습니다. 거의 모든 슬레이브 플립플롭은 표준 SR 플립플롭인 반면, 플립플롭 유형은 SR, JK 또는 D 유형 구성이 될 마스터 부분에서 이름을 따왔습니다.

(토글) T형 플립플롭

T형(토글) 플립플롭은 단일 입력 쌍안정이며 위의 D형과 유사하게 작동합니다. 위에서 JK 플립플롭 구성을 살펴보았는데, J = K = 1 이면 출력이 다음 클록 사이클 적용 시 토글됩니다. 그러면 플립플롭을 토글 유형으로 변환하는 것은 단순히 입력을 HIGH로 연결하기만 하면 됩니다.

T형 플립플롭은 상업적으로 이용 가능하지 않지만 J 입력 을 K 입력 과 연결 하고 둘 다 논리 레벨 "1"에 연결하여 JK 플립플롭(또는 D형 플립플롭)으로 구성할 수 있습니다. J  K HIGH를 사용하면 플립플롭은 클록 입력에서 트리거될 때마다 상태를 변경합니다. 이 클럭 입력은 출력이 "0"이면 "1"이 되고, "1"이면 "0"이 되어 토글되므로 이제 "토글 입력"이라고 합니다.

토글 T형 플립플롭

토글 플립플롭은 클록 입력이 T = 1 인 경우 상태가 변경되고 T = 0 인 경우 변경되지 않은 상태로 유지됩니다 . 그런 다음 "0"에서 "1"로 전환하면 출력이 전환되어 플립플롭에 이름이 부여됩니다. 토글 T형 플립플롭은 주파수 분배기 및 디지털 카운터를 포함한 많은 디지털 회로의 기본 구성 요소입니다.

토글 T형 플립플롭은 두 가지 간단한 방법으로 JK 플립플롭에서 구성할 수 있습니다. 첫 번째는 J  K 입력을 그림과 같이 HIGH로 함께 묶을 수 있으며 클록 입력은 그림과 같이 토글이 됩니다. 두 번째 방법은 J  K 입력을 함께 묶어 클록 입력이 변경되지 않은 상태로 토글 입력을 제공하는 것입니다. T  CLK = "1"이거나 같을 때 출력이 토글됩니다 . T 또는 CLK 가 LOW 이면 출력은 변경되지 않습니다 .

데이터 D형 플립플롭은 JK 플립플롭과 마찬가지로 Q 출력을 D 입력에 직접 연결하여 토글 플립플롭으로 작동하도록 변환할 수 있으며, 토글링 신호 T는 위에 표시된 대로 클록 입력이 됩니다. Q를 입력에 연결하면 부정적인 피드백이 생성됩니다.

토글 플립플롭의 출력은 클록 신호가 적용될 때마다 상태가 변경되므로 출력 주파수는 입력 신호 주파수의 절반이므로 주파수 분배기 역할을 합니다. 더 많은 토글 플립플롭을 직렬로 연결하여 체인을 형성하면 직렬 배열에서 첫 번째 플립플롭의 출력이 두 번째 T 플립플롭의 클럭 역할을 하고 두 번째 플립플롭이 클럭 입력으로 동작하게 됩니다. 세 번째 T 플립플롭 등의 경우 체인을 따라 주파수 분할을 생성합니다.

플립플롭과 래치는 순차 논리 회로의 가장 기본적인 구성 요소입니다. 따라서 많은 IC 제조업체에서는 아래 나열된 TTL 및 CMOS 기술을 모두 사용하는 다양한 플립플롭 칩을 생산합니다.

플립플롭 IC의 인기 유형

장치 번호 장치 설명
74LS73A 클리어 기능이 있는 듀얼 네거티브 에지 트리거 JK 플립플롭
74LS74 프리셋 및 클리어 기능이 있는 듀얼 포지티브 에지 트리거 D형
74LS75 활성화 기능이 있는 쿼드 D형 쌍안정 래치
74LS76 프리셋 및 클리어 기능을 갖춘 듀얼 펄스 트리거 JK 플립플롭
74LS107 투명 듀얼 JK 플립플롭
74LS111 클리어 기능이 있는 듀얼 마스터-슬레이브 JK 플립플롭
74LS175 클리어 기능이 있는 듀얼 포지티브 에지 트리거 D형
74LS279 Active-LOW 입력이 있는 쿼드 SR 래치

플립플롭 요약 변환

우리는 이 튜토리얼에서 쌍안정 장치가 두 개의 잘 정의된 상태가 존재하고 언제든지 장치가 안정 상태 중 하나를 취할 수 있다는 것을 확인했습니다. 한 유형에서 다른 유형으로 전환하는 데 약간의 수정만 필요하므로 플립플롭을 한 유형에서 다른 유형으로 변환하는 것은 매우 쉽게 수행할 수 있습니다. 피드백이 있는 논리 게이트 회로를 사용하여 플립플롭을 구성할 수 있습니다.

우리는 또한 플립플롭이 1개, 2개 또는 3개의 입력을 가질 수 있으며 이러한 입력 중 하나가 클록 신호에 연결될 수 있음을 확인했습니다. 모든 플립플롭에는 두 가지 출력 상태가 있습니다. Q = 1  Q = 0 은 클록 적용에 따라 변경됩니다. SR 래치의 경우 S = 1은 Q를 1로 설정 하고 R = 1은 Q를 0으로 재설정합니다 .

JK 플립플롭은 범용 플립플롭으로 분류되며 J = 1 일 때 Q를 1로 설정 하고 K = 1 일 때 Q를 0으로 재설정한다는 점에서 SR 플립플롭과 설계가 유사합니다 . J = K = 1이라는 조건으로 인해 Q 가 전환됩니다.

위에서 설명한 모든 플립플롭에는 클록 신호와 관계없이 Q가 "0"으로 지워지거나 "1"로 사전 설정되도록 하는 추가 비동기 CLEAR 및 PRESET 입력이 있을 수 있습니다.

J 입력 과 K 입력 사이에 인버터를 추가하면 JK 플립플롭에서 D형 쌍안정을 구성할 수 있습니다 . D형 플립플롭은 디지털 시스템에서 데이터를 전송하는 데 널리 사용되며 입력이 들어오면 즉시 받아들이고 그에 따라 출력이 변경되기 때문에 투명하다고 합니다.

T 또는 토글 플립플롭은 T = 1 일 때 클록 펄스 적용 시 상태를 변경하고 , 그렇지 않으면 Q는 변경되지 않습니다. 토글 플립플롭은 일반적으로 주파수 분할이나 이진 카운터 설계에 사용됩니다. 이진 카운터에는 보완이 필요하기 때문입니다. 토글 플립플롭은 상용화되지 않았지만 JK 플립플롭의 J 입력 과 K 입력을 함께 연결하여 구현할 수 있습니다.

한 유형에서 다른 유형으로의 플립플롭 변환은 일반적 으로 입력을 재구성하거나 추가 논리 게이트를 추가하여 가능하며 SR 플립플롭은 자체적으로 데이터 래치로 변환될 수 있는 JK 플립플롭으로 변환될 수 있음을 확인했습니다. , JK 플립플롭과 D형 모두 T형 토글 플립플롭으로 변환이 가능하다.

728x90

'전자일기' 카테고리의 다른 글

전자 시스템  (0) 2024.03.25
토글 플립플롭  (0) 2024.03.25
존슨 링 카운터  (0) 2024.03.06
시프트 레지스터  (0) 2024.03.06
D형 플립플롭  (0) 2024.03.06